国产精品婷婷久久久久久,国产精品美女久久久浪潮av,草草国产,人妻精品久久无码专区精东影业

計算機硬件課程設(shè)計實驗報告.rar

RAR格式版權(quán)申訴手機打開展開

計算機硬件課程設(shè)計實驗報告,實驗部分1)16進制計數(shù)器,該計數(shù)器有清零和計數(shù)允許功能。輸入信號為clk,清零拉制信號為clr(低電平有效),計數(shù)允許控制信號為en(高電平有效),輸出信號為a、b、c、d,要求畫出16進制計數(shù)器的時序圖。[時序圖]:abcd000001000120010300114010050101601...
編號:47-31245大小:30.90K
分類: 論文>計算機論文

該文檔為壓縮文件,包含的文件列表如下:

內(nèi)容介紹

原文檔由會員 xiaowei 發(fā)布

計算機硬件課程設(shè)計實驗報告





<設(shè)計說明書>
實驗部分
1) 16進制計數(shù)器,該計數(shù)器有清零和計數(shù)允許功能。輸入信號為CLK,清零拉制信號為CLR(低電平有效),計數(shù)允許控制信號為EN(高電平有效),輸出信號為A、B、C、D,要求畫出16進制計數(shù)器的時序圖。
[時序圖]:
A B C D
0 0 0 0 0
1 0 0 0 1
2 0 0 1 0
3 0 0 1 1
4 0 1 0 0
5 0 1 0 1
6 0 1 1 0
7 0 1 1 1
8 1 0 0 0
9 1 0 0 1
10 1 0 1 0
11 1 0 1 1
12 1 1 0 0
13 1 1 0 1
14 1 1 1 0
15 1 1 1 1
[源程序]:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity demo1 is--定義實體demo1
port(clk,clr,en:in std_logic;--定義clk,clr,en模式為輸入
A,B,C,D: out std_logic);--定義A,B,C,D模式為輸出
end;

architecture demo1_arch of demo1 is--定義結(jié)構(gòu)體demo1_arch
signal count:std_logic_vector(3 downto 0);--定義信號為4位邏輯矢量
begin
A<=count(0);
B<=count(1);
C<=count(2);
D<=count(3);
process(clk,clr)
Begin
if(clr='0') then
count<="0000";--實現(xiàn)清零的功能
elsif rising_edge(clk) then--否則當(dāng)CLK為上升沿時
if(en='1') then
if(count="1111") then
count<="0000";--EN為高電平下,計到15時候歸0
else
count<=count+'1';--否則持續(xù)自+1
end if;
end if;
end if;
end process;--結(jié)束進程
end demo1_arch;--結(jié)束結(jié)構(gòu)體

[引腳設(shè)置]:
clk in 11
clr in 14
en in 24
A out 15
B out 16
C out 17
D out 18


[程序說明]:
這個程序設(shè)計思路較簡單,指導(dǎo)書上有20進制的程序范例,唯一不同的就是20進制則定義信號為5位邏輯矢量,輸出為ABCDE,而16進制則定義信號為4位邏輯矢量,輸出為ABCD。還有就是在最后要改成計到1111(15)時清零,而不是10011(19)。