可預(yù)置串行序列信號發(fā)生器設(shè)計與實現(xiàn)[實驗報告].doc
約5頁DOC格式手機(jī)打開展開
可預(yù)置串行序列信號發(fā)生器設(shè)計與實現(xiàn)[實驗報告],有圖紙,完整。一.實驗?zāi)康倪M(jìn)一步了解時序電路描述方法二.重點和難點vhdl 語言中時序設(shè)計基礎(chǔ)vhdl 語言中同步時序設(shè)計三.設(shè)備器材穩(wěn)壓電源,萬用表,示波器, 計算機(jī)實驗板一塊,quartus2,synplify8.1四.源程序library ieee;use ieee....
內(nèi)容介紹
此文檔由會員 劉麗 發(fā)布
可預(yù)置串行序列信號發(fā)生器設(shè)計與實現(xiàn)[實驗報告]
有圖紙,完整。
一. 實驗?zāi)康?br>進(jìn)一步了解時序電路描述方法
二.重點和難點
VHDL 語言中時序設(shè)計基礎(chǔ)
VHDL 語言中同步時序設(shè)計
三.設(shè)備器材
穩(wěn)壓電源, 萬用表, 示波器, 計算機(jī) 實驗板一塊,Quartus2,Synplify8.1
四.源程序
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity produce is
......
有圖紙,完整。
一. 實驗?zāi)康?br>進(jìn)一步了解時序電路描述方法
二.重點和難點
VHDL 語言中時序設(shè)計基礎(chǔ)
VHDL 語言中同步時序設(shè)計
三.設(shè)備器材
穩(wěn)壓電源, 萬用表, 示波器, 計算機(jī) 實驗板一塊,Quartus2,Synplify8.1
四.源程序
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity produce is
......
TA們正在看...
- 鋼筋專業(yè)知識ppt講義課件(103頁).ppt
- 自動化專業(yè)的就業(yè)方向指導(dǎo)和考研信息.doc
- 《數(shù)控編程技術(shù)》形成性考核冊作業(yè)參考答案.doc
- 《網(wǎng)頁設(shè)計與制作》第一章:網(wǎng)頁制作基礎(chǔ).ppt
- 《網(wǎng)頁設(shè)計與制作》第二章:dreamweaver_mx_2004.ppt
- 《網(wǎng)頁設(shè)計與制作》第三章:插入網(wǎng)頁基本元素.ppt
- 《網(wǎng)頁設(shè)計與制作》第四章:頁面設(shè)計.ppt
- 《網(wǎng)頁設(shè)計與制作》第五章:高級網(wǎng)頁制作.ppt
- 2012年考研大綱詞匯方便打印版.doc
- 園林植物病蟲害防治原理及技術(shù)措施.doc